小高技术网-免费分享创业技术、为农村创业者服务!
当前位置: 小高技术网 > 技术常识4>正文

FPGA自学书籍推荐(学fpga看什么书好)

2023-03-01 02:40:01 技术常识4 微分享

【温馨提示】本文共有6176个字,预计阅读完需要16分钟,请仔细阅读哦!


IC设计工程师必读书籍精选(建议收藏),下面一起来看看本站小编IC修真院给大家精心整理的答案,希望对您有帮助

学fpga看什么书好1

在IC行业,技术和经验是敲门砖、也是试金石。

而万丈高楼平地起,懂技术、有经验的前提是先掌握理论,理论能指导你在实际工作中的绝大多数问题。

通用基础类

这类书籍是适用于多个岗位的,涉及的面也会更广一些。

尤其是前面三本,绝对是微电子专业的理论基石。

一、《半导体物理学(第7版)》

作者:刘恩科、朱秉升、罗晋生 出版社:电子工业出版社

刘科恩版的这本书就不用多说了,被国内的大部分高校都用作半导体物理这门课程的教材。

在座的大多数同学,大学期间应该都已经学过。

这本书主要以阐释物理概念为主,对于半导体物理相关的基础性知识讲解很全面。

二、《半导体器件物理(第3版)》

作者:施敏、伍国珏 出版社:西安交通大学出版社

这本经典著作在半导体器件领域已经树立起了先进的学习和参考典范。

大家理解半导体器件,就先理解PN结。

“如果把PN结理解了,剩下的MOS管,BJT也不过是PN结和电容的组合。”

另外,大多数器件物理相关的书籍,里面也会涉及到一些半导体物理的内容。一般建议大家先看半导体物理再看器件物理,循序渐进。

三、《数字电子技术基础(第5版)》

作者:阎石 出版社:高等教育出版社

数电绝对是数字IC设计最最基础的内容。数电相关的书籍是比较多的,这本是比较经典的一本,如果手头有别的书也可以。

主要是为了弄懂:与或门电路、接触器、译码器、脉冲产生、逻辑化简。

大学期间要学这三门课的同学,请务必上课认真听。

如果是转行的同学,之前没有接触过,建议搭配网课一起听,效果double。

接下来是语言一类的图书推荐。

四、《C语言程序设计现代方法》

作者:K.N.金(K.N.King) 出版社:人民邮电出版社

IC工程师并不需要像程序员一样天天敲代码,学C语言完全是工作中的实际需要。

比如验证工程师,就需要用C语言来写case。所以不用学的太深,熟悉基本语法和命令就差不多了。

能提高工作效率,早两个小时回家,你确定不学吗?

五、《Perl语言入门》

作者:兰德尔·L.施瓦茨、汤姆·菲尼克斯、布赖恩·D福瓦 出版社:东南大学出版社

这本书是perl脚本的入门书籍,包含了perl脚本的基本语法,差不多20个小时就能全部读完。

perl、python这类脚本语言,和C语言一样属于工作需要。可以实现批量操作,效率高且错误率低。

这本“小骆驼书”,建议买一本放手边,相信我,你会经常用得到。

六、《鸟哥的Linux私房菜 》

作者:鸟哥 出版社:人民邮电出版社

Linux是实际工作中实际要用到的工作环境。

这本书对各种功能和命令的介绍,都配以大量的实例操作和详尽的解析。讲解比较全面细致,属于初学者友好的类型。

到这里,“通用基础类”的书籍就结束了,接下来是分不同岗位的书籍推荐。

车速即将120迈,请各位系好安全带。

前端设计类

七、《Verilog HDL高级数字设计(第2版)》

作者:西乐提(MichaelD.Ciletti) 出版社:电子工业出版社

书里对如何用Verilog HDL对数字系统进行建模、设计、验证讲的很详细,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触发器相关知识。对ASIC/FPGA系统芯片工程设计开发的关键技术与流程也进行了深入讲解。

对于前端设计来说,这本书对code水平提升很有帮助,大家可以试着做个简单但完整的设计。

推荐指数五颗星。

八、《数字集成电路:电路、系统与设计(第2版)》

作者:拉贝尔(Jan M.Rabaey) 出版社:电子工业出版社

这本书是美国加州大学伯克利分校的经典教材,也是国内高校的参考教材和考研参考书。

书里详细地介绍了MOS管原理、CMOS组合逻辑、时序逻辑、加法器乘法器等运算单元、存储结构、以及时序、互连、电路寄生效应,包含充分的理论分析和电路结构图。

功能验证类

九、《System verilog验证》

作者:克里斯·斯皮尔 出版社:科学出版社

我赌5毛,这本验证的绿皮书,肯定是验证工程师人手一本。

算是一本学习SV语言的初级阶段读物。主要讲SV语言的工作原理和各种验证方法,书里还有大量的实例可供参考。

十、《UVM实战》

作者:张强 出版社:机械工业出版社

这本验证白皮书算是IC验证的圣经。

主要介绍UVM的使用,里面有大量的示例代码。适合有Verilog和SV基础的人来学。

而且实用性很强,也是也属于边学边用、边用边学的类型。

后端设计类

十一、《数字集成电路物理设计》

作者:陈春章、艾霞、万国雄 出版社:科学出版社

这本书作者是Cadence的资深技术人员,内容主要是基于Cadence的EDA工具。

是目前国内介绍后端布局布线设计技术非常完整、全面的一本教材。

但是目前已经绝版了,大家可以试试找电子版来看。

模拟版图类

十二、《模拟电路版图的艺术》

作者:艾伦·黑斯廷斯(Alan Hastings) 出版社:电子工业出版社

这本书主要讲的是模拟集成电路版图设计里涉及到的各类问题和研究成果,其实都是很基本的概念。

胜在讲解比较详细、全面、而且实用。

十三、《集成电路版图基础——实用指南》

作者:克里斯托弗·赛因特、朱迪·赛因特 出版社:清华大学出版社

这本是从基础半导体理论开始,循序渐进介绍基本集成电路单元的版图设计。

比上一本更加偏向基础,而且也辅之以图片和轶事,要更有趣一些。

小白科普类

十四、《大话处理器》

作者:万木杨 出版社:清华大学出版社

这本属于图文并茂的科普读物,相对前面那些硬到硌牙的技术大块头,这本书就有趣多了。

适合学累了的时候换换脑子。

十五、《芯片世界:集成电路探秘》

作者:邹世昌、海波、秦畅 出版社:华东师大出版社

这本比上一本要更加直白易理解,适读年龄在9-14岁。很适合拿来给孩子做科普。

时间会带给我们经验,读书给我们知识。开卷终有益。

各位ICer们,加油。

学习之路上,IC修真院与你同行。

学fpga看什么书好2

在IC行业,技术和经验是敲门砖、也是试金石。

而万丈高楼平地起,懂技术、有经验的前提是先掌握理论,理论能指导你在实际工作中的绝大多数问题。

之前整理过一次必读书籍,但是没有DFT设计和模拟设计的相关推荐书籍。

此次在增加了这两个岗位的推荐书籍。

通 用 基 础 类

通用基础类是适用于多个岗位的,涉及的面也会更广一些。

尤其是前面三本,绝对是微电子专业的理论基石。

《半导体物理学(第7版)》

作者:刘恩科、朱秉升、罗晋生

出版社:电子工业出版社

刘科恩版的这本书就不用多说了,被国内的大部分高校都用作半导体物理这门课程的教材。

在座的大多数同学,大学期间应该都已经学过。

这本书主要以阐释物理概念为主,对于半导体物理相关的基础性知识讲解很全面。

《半导体器件物理(第3版)》

作者:施敏、伍国珏

出版社:西安交通大学出版社

这本经典著作在半导体器件领域已经树立起了先进的学习和参考典范。

大家理解半导体器件,就先理解PN结。

“如果把PN结理解了,剩下的MOS管,BJT也不过是PN结和电容的组合。”

另外,大多数器件物理相关的书籍,里面也会涉及到一些半导体物理的内容。一般建议大家先看半导体物理再看器件物理,循序渐进。

《数字电子技术基础(第5版)》

作者:阎石

出版社:高等教育出版社

数电绝对是数字IC设计最最基础的内容。数电相关的书籍是比较多的,这本是比较经典的一本,如果手头有别的书也可以。

主要是为了弄懂:与或门电路、接触器、译码器、脉冲产生、逻辑化简。

大学期间要学这三门课的同学,请务必上课认真听。

如果是转行的同学,之前没有接触过,建议搭配网课一起听,效果double。

接下来是语言一类的图书推荐。

《C语言程序设计现代方法》

作者:K.N.金(K.N.King)

出版社:人民邮电出版社

IC工程师并不需要像程序员一样天天敲代码,学C语言完全是工作中的实际需要。

比如验证工程师,就需要用C语言来写case。所以不用学的太深,熟悉基本语法和命令就差不多了。

能提高工作效率,早两个小时回家,你确定不学吗?

《Perl语言入门》

作者:兰德尔·L.施瓦茨(Randal L. Schwartz)、

汤姆·菲尼克斯(Tom Phoenix)、

布赖恩·D福瓦(brian d foy)

出版社:东南大学出版社

这本书是perl脚本的入门书籍,包含了perl脚本的基本语法,差不多20个小时就能全部读完。

perl、python这类脚本语言,和C语言一样属于工作需要。可以实现批量操作,效率高且错误率低。

这本“小骆驼书”,建议买一本放手边,相信我,你会经常用得到。

《鸟哥的Linux私房菜 》

作者:鸟哥

出版社:人民邮电出版社

Linux是实际工作中实际要用到的工作环境。

这本书对各种功能和命令的介绍,都配以大量的实例操作和详尽的解析。讲解比较全面细致,属于初学者友好的类型。

到这里,“通用基础类”的书籍就结束了,接下来是分不同岗位的书籍推荐。

车速即将120迈,请各位系好安全带。

前端设计类

《Verilog HDL高级数字设计(第2版)》

作者:西乐提(MichaelD.Ciletti)

出版社:电子工业出版社

书里对如何用Verilog HDL对数字系统进行建模、设计、验证讲的很详细,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触发器相关知识。对ASIC/FPGA系统芯片工程设计开发的关键技术与流程也进行了深入讲解。

对于前端设计来说,这本书对code水平提升很有帮助,大家可以试着做个简单但完整的设计。

推荐指数五颗星。

《数字集成电路:电路、系统与设计(第2版)》

作者:拉贝尔(Jan M.Rabaey)

出版社:电子工业出版社

这本书是美国加州大学伯克利分校的经典教材,也是国内高校的参考教材和考研参考书。

书里详细地介绍了MOS管原理、CMOS组合逻辑、时序逻辑、加法器乘法器等运算单元、存储结构、以及时序、互连、电路寄生效应,包含充分的理论分析和电路结构图。

功能验证类

《System verilog验证》

作者:克里斯·斯皮尔

出版社:科学出版社

我赌5毛,这本验证的绿皮书,肯定是验证工程师人手一本。

算是一本学习SV语言的初级阶段读物。主要讲SV语言的工作原理和各种验证方法,书里还有大量的实例可供参考。

《UVM实战》

作者:张强

出版社:机械工业出版社

这本验证白皮书算是IC验证的圣经。

主要介绍UVM的使用,里面有大量的示例代码。适合有Verilog和SV基础的人来学。

而且实用性很强,也是也属于边学边用、边用边学的类型。

DFT设计

《数字系统测试和可测试性设计》

作者:塞纳拉伯丁·纳瓦比(Zainalabedin·Navabi)

出版社:机械工业出版社

这本书通过数字电路设计实例和方法阐明了测试和可测试性的概念。

许多人会把可测性设计与其他测试/设计混淆,这本书的最大特点是广泛地使用Verilog和VerilogPLI编写测试应用,这就把本书与其他讨论测试和可测试性的书籍区分了开来。

《VLSI测试方法学与可测性设计》

作者:雷绍充、邵志标、梁峰

出版社:电子工业出版社

这本书系统介绍超大规模集成电路(VLSI)的测试方法学和的可测性设计。

可以帮助大家对更深层次的电路设计、模拟、测试和分析打下良好的基础。

后端设计类

《数字集成电路物理设计》

作者:陈春章、艾霞、万国雄

出版社:科学出版社

这本书作者是Cadence的资深技术人员,内容主要是基于Cadence的EDA工具。

是目前国内介绍后端布局布线设计技术非常完整、全面的一本教材。

但是目前已经绝版了,大家可以试试找电子版来看。

模拟设计类

《模拟CMOS集成电路设计》(第2版)

作者:毕查德·拉扎维(Behzad Razavi)

出版社:西安交通大学出版社

拉扎维的这本模集绝对可以称之为模拟IC的圣经,是在世界世界范围内被广泛采用的模拟电路设计教材。

甚至有业内同学戏称:想看自己适不适合做模拟,就去啃啃拉扎维,啃完就知道自己有没有潜质学模拟了。

这本书内容编排合理,讲述方式由浅入深,注重电路直观分析能力的培养,并安排大量的例题及习题。

《CMOS模拟集成电路设计》(第2版)

作者:Allen R.E.(艾伦)

出版社:电子工业出版社

这也是模拟集成电路设计课程的一本经典教材。

作者从CMOS技术的前沿出发,将他们丰富的实践经验与教学经验相结合,对CMOS模似电路设计的原理和技术给出了深入和详尽的论述。

模拟版图类

《模拟电路版图的艺术》

作者:艾伦·黑斯廷斯(Alan Hastings)

出版社:电子工业出版社

这本书主要讲的是模拟集成电路版图设计里涉及到的各类问题和研究成果,其实都是很基本的概念。

胜在讲解比较详细、全面、而且实用。

《集成电路版图基础——实用指南》

作者:克里斯托弗·赛因特(Christopher Saint)

朱迪·赛因特(Judy Saint)

出版社:清华大学出版社

这本是从基础半导体理论开始,循序渐进介绍基本集成电路单元的版图设计。

比上一本更加偏向基础,而且也辅之以图片和轶事,要更有趣一些。

小白科普类

《大话处理器》

作者:万木杨

出版社:清华大学出版社

这本属于图文并茂的科普读物,相对前面那些硬到硌牙的技术大块头,这本书就有趣多了。

适合学累了的时候换换脑子。

《芯片世界:集成电路探秘》

作者:邹世昌、海波、秦畅

出版社:华东师大出版社

这本比上一本要更加直白易理解,适读年龄在9-14岁。很适合拿来给孩子做科普。

时间会带给我们经验,读书给我们知识。开卷终有益。

各位ICer们,加油。学习之路上,IC修真院与你同行。

学fpga看什么书好3

1《GTD(搞定)-无压工作的艺术》

2 高速电路设计实践

路飞强烈推荐王老师这本书。王老师也给核心群的群友答疑解难了不少问题。

《高速电路设计实践》从设计实践角度出发,介绍了在从事高速电路设计的工作中需要掌握的各项技术及技能,并结合工作中的具体案例,强化了设计中的各项要点。详细研究了相关具体案例。在《高速电路设计实践》的编写过程中,作者避免了纯理论的讲述,而是结合设计实例叙述经验,将复杂的高速电路设计,用通俗易懂的语言陈述给读者。

图书目录:

3 硬件系统工程师宝典

路飞今天趁着这本书便宜,买了本。

这本说总的来说,不算深入,但涉及了硬件研发的各个环节,算是一个不错的入门书,对于高手,用来查缺补漏也不错,完善知识体系。很少有这么全面的。希望对大家有用。

以下是目录。

第1章 需求分析1.1 功能需求1.1.1 供电方式及防护1.1.2 输入与输出信号类别1.1.3 线通信功能1.2 整体性能要求1.3 用户接口要求1.4 功耗要求1.5 成本要求1.6 IP和NEMA防护等级要求1.7 需求分析案例1.8 本章小结第2章 概要设计及开发平台2.1 ID及结构设计2.2 软件系统开发2.2.1 操作系统的软件开发2.2.2 有操作系统的软件开发2.2.3 软件开发的一般流程2.3 硬件系统概要设计2.3.1 信号完整性的可行性分析2.3.2 电源完整性的可行性分析2.3.3 EMC的可行性分析2.3.4 结构与散热设计的可行性分析2.3.5 测试的可行性分析2.3.6 工艺的可行性分析2.3.7 设计系统框图及接口关键链路2.3.8 电源设计总体方案2.3.9 时钟分配图2.4 PCB开发工具介绍2.4.1 Cadence Allegro2.4.2 Mentor系列2.4.3 Zuken系列2.4.4 Altium系列2.4.5 PCB封装库助手2.4.6 CAM3502.4.7 Polar Si90002.5 RF及三维电磁场求解器工具2.5.1 ADS2.5.2 ANSYS Electromagnetics Suite2.5.3 CST2.5.4 AWR Design Environment2.6 本章小结第3章 信号完整性(SI)分析方法3.1 信号完整性分析概述3.2 信号的时域与频域3.3 传输线理论3.4 信号的反射与端接3.5 信号的串扰3.6 信号完整性分析中的时序设计3.7 S参数模型3.8 IBIS模型3.9 本章小结第4章 电源完整性(PI)分析方法4.1 PI分析概述4.2 PI分析的目标4.3 PI分析的设计实现方法4.3.1 电源供电模块VRM设计4.3.2 直流压降及通流能力4.3.3 电源内层平面的设计4.4 本章小结第5章 EMC/EMI分析方法5.1 EMC/EMI分析概述5.2 EMC标准5.3 PCB的EMC设计5.3.1 EMC与SI、PI综述5.3.2 模块划分及布局5.3.3 PCB叠层结构5.3.4 滤波在EMI处理中的应用5.3.5 EMC中地的分割与汇接5.3.6 EMC中的屏蔽与隔离5.3.7 符合EMC的信号走线与回流5.4 本章小结第6章 DFX分析方法6.1 DFX分析概述6.2 DFM――可制造性设计6.2.1 印制板基板材料选择6.2.2 制造的工艺及制造水平6.2.3 PCB设计的工艺要求(PCB工艺设计要考虑的基本问题)6.2.4 PCB布局的工艺要求6.2.5 PCB布线的工艺要求6.2.6 丝印设计6.3 DFT――设计的可测试性6.4 DFA――设计的可装配性6.5 DFE――面向环保的设计6.6 本章小结第7章 硬件系统原理图详细设计7.1 原理图封装库设计7.2 原理图设计7.2.1 电阻特性分析7.2.2 电容特性分析7.2.3 电感特性分析7.2.4 磁珠特性分析7.2.5 BJT应用分析7.2.6 MOSFET应用分析7.2.7 LDO应用分析7.2.8 DC/DC应用分析7.2.9 处理器7.2.10 常用存储器7.2.11 总线、逻辑电平与接口7.2.12 ESD防护器件7.2.13 硬件时序分析7.2.14 Datasheet与原理图设计的前前后后7.3 Pspice仿真在电路设计中的应用7.4 本章小结第8章 硬件系统PCB详细设计8.1 PCB设计中的SI\PI\EMC\EMI\ESD\DFX8.2 PCB的板框及固定接口8.3 PCB的叠层结构:信号层与电源平面8.3.1 PCB的板材:Core和PP,FPC8.3.2 传输线之Si9000阻抗计算8.3.3 PCB平面层敷铜8.4 PCB布局8.4.1 PCB布局的基本原则8.4.2 PCB布局的基本顺序8.4.3 PCB布局的工艺要求及特殊元器件布局8.4.4 PCB布局对散热性的影响:上风口、下风口8.5 PCB布线8.5.1 PCB布线的基本原则8.5.2 PCB布线的基本顺序8.5.3 PCB走线中的Fanout处理8.6 常见电路的布局、布线8.6.1 电源电路的布局、布线8.6.2 时钟电路的布局、布线8.6.3 接口电路的布局、布线8.6.4 CPU最小系统的布局、布线8.7 PCB级仿真分析8.7.1 信号完整性前仿真分析8.7.2 信号时序Timing前仿真分析8.7.3 信号完整性后仿真分析8.7.4 电源完整性后仿真分析8.7.5 PCB级EMC/EMI仿真分析8.8 本章小结第9章 PCB设计后处理及Gerber输出9.1 板层走线检查及调整9.2 板层敷铜检查及修整9.3 丝印文字及LOGO9.4 尺寸和公差标注9.5 Gerber文档输出及检查9.6 PCB加工技术要求9.7 本章小结

4 模电书籍推荐

MOS模拟集成电路设计[1].2版-艾伦

模拟CMOS集成电路设计(拉扎维)【581页】

模拟集成电路的分析与设计(第四版)[美]Paul R.Gray

以上为三大圣经(业界经典,搞纯模电必看)

新概念模拟电路

模拟和数字电子电路基础(MIT课本)

模拟电路设计手册(ADI神书)

基于运算放大器和模拟集成电路的电路设计(学运放必备)

你好放大器(入门运放必备)

晶体管电路设计-铃木雅臣(学晶体管很有帮助)

低电平测量手册(微弱信号放大必备)

实例解读模拟电子技术完全学习与应用(PPT,视频,实物,配套,适合小白)

​5 高速电路设计推荐

高速数字设计(黑魔书,业界圣经)

信号完整性和电源完整性分析(伯格厅是MIT大神,SI,PI专家)

于争信号完整性揭秘(配视频讲解很好)

Cadence高速电路板设计与仿真(周润景的,仿真基础)

Cadence高速电路设计 Allegro Sigrity SI/PI/EMI设计指南(陈兰兵,有深度)

​6 Layout书籍推荐

Altium Desiger:

软件方面的小知识:目前业界公认最稳定是AD09,AD09-AD14等长算法不怎么好,因此在AD15的版本,引入Xsingal来解决复杂拓扑的等长关系。

推荐安装版本:AD09 AD16 AD18(AD17有个比较不太好的地方,PCB双击错误提示无法跳转,需要利用一些特殊的技巧进行跳转)

AD(09-17)所有版本操作几乎大同小异,几乎没任何差别。建议购买就买最新的,毕竟这些作者会借鉴前者写的书籍,然后进行修改和增添。

AD软件建议装一个经典版本,剩余装一个高版本(越新越好)

AD书籍:

这本主要讲解AD17软件的操作,还有一些PCB设计的一些方法和技巧。

这本书深入带你了解AD的大部分功能:原理图仿真,PCB设计一些高级技巧,PCB仿真,PCB工艺,还可以利用软件进行单片机代码编写,烧录。

如果小伙伴鉴于版本的使用问题,可以看这本书,这本是以AD15为基础进行讲解。(推荐还是看第一本AD17)

AD18:AD官方一次打UI界面的更新,更加酷炫和集成度更高。从以往版本过渡有点不适应,但是为了适应,市面有对应的书籍进行出售。

《Altium designer18电路设计与仿真从入门到精通》

我看这本目前看了一半,感觉良好。推荐!

推荐:除了AD15不太推荐看,其余都可以看看。

Cadence Allegro:

软件方面的小知识:目前现在业界流行版本15.7 16.3 16.6。推荐安装16.6。17.2版本由于目前官方没有提供相对应的降低版本的接口,需要通过付费的skill。(这个是硬伤)

软件当然是越出越好,17.2功能是16.6更强大,但是不能降版本,导致目前不太流行。有兴趣的小伙伴,可以自己安装,进行摸索。个人使用之后,感觉17.2集成度大大提高,UI界面美观得以改善,Sigrity仿真功能集成到PCB中。其余目前还在摸索。

Allegro软件书籍:(基于17.2)

此书,本人强力推荐。囊括了Allegro常用的基本操作,深入讲解每个菜单使用,PCB工艺,DDR知识,工艺(埋阻埋容),一些软件的高级功能。

Mentor Xpedition(传说中拉线王)

这个软件目前中国还不太流行,主要是研究院用的比较多。

王子瑜老师力作,强力推荐。正如书名,以一个项目流程,从无到有讲解软件的使用方法。(网上目前配有免费的学习视频)

​7 电子工程类数学书推荐

一、算法和数学

为什么要先推荐数学,数学在电路设计中是怎样的地位?

电路专家武晔卿老师说,如果一个工程师能把数学与电子结合好了,他就会成为一位顶级的工程师。

不计算,即使好也不知有多好,即使坏,也不知有多大风险。 可惜的是很多工程师,甚至包括比较老的工程师,都在强调所谓的设计经验 ,过去合适的参数选型和典型电路,换在当下,即使能用,或许已在超标崩溃的边缘。不计算,则如盲人骑瞎马,夜半临深池。

下面是书籍推荐和及与电路相关数学知识点的举例。

l 高中数学: 基础代数,求导

l 微积分篇:

《托马斯微积分》高等教育出版社

R、L、C是电路基本元件。微积分的可以用来分析电感和电容的工作特性。如单片机RC复位电路的计算

l **线性代数: **

《线性代数导论》 Gilbert Strang

《线性代数》 李尚志

电路分析、线性信号系统分析、数字滤波器分析设计等需要线代,因为线代就是研究线性网络的主要工具;电磁场是向量场的分析;S参数的推导也要用到矩阵、线性代数的知识。

l 概率论与数理统计:

《概率论与数理统计》 陈希孺

大数定理,留余量,在噪声分布,生产问题等方面有应用。

l DSP&信号与系统:

《数字信号处理基础》

《信号与系统》奥本海姆 第二版 电子工业出版社

信号与系统是把学过的高等数学、线性代数、概率论、复变函数等应用到实际工程中。

拉氏变换: 传递函数,电路稳定性,复数的概念,ESR,S参数

傅里叶变换-数字滤波器

l 算法:

《算法图解》入门必备

(朋友武大博士,强力推荐,硕士期间拒绝大疆50W年薪,拿过多个世界级比赛奖项)

学习基础算法,结合FPGA,是硬件工程师拿高薪的一条路。

自我介绍:B站资深恰饭Up,双985通信专业毕业,擅长高速数字电路设计(X86/FPGA/ARM等)。不定期分享硬件电路设计干货,知识体系,有趣专业实验。包括但不仅限于学习方法、模电、FPGA、小信号、高速电路、信号完整性、Layout、嵌入式、学习方法。已帮助成千上万电子专业学生和初级工程师入门成长。技术交流群集合了众多经验丰富的技术大牛,交流氛围极佳。我的宝藏都在这了。

更多精彩在公众号:路飞的电子设计宝藏


内容更新时间(UpDate): 2023年03月06日 星期一

版权保护: 【本文标题和链接】FPGA自学书籍推荐(学fpga看什么书好) http://www.youmengdaxiazuofa.net/longxia8/80060.html






















你的评论更有价值!!!
  • 全部评论(0
    还没有评论,快来抢沙发吧!
博客主人百科博主
女,免费分享各种生活、电商知识、百科常识。
  • 文章总数
  • 100W+访问次数
  • 建站天数
  • {/dede:arclist}